microsystems

Challenges in Different Mixed Signal SOC Applications

Mixed-signal design is a combination of digital and analog circuitry. Analog design is composed of capacitors, inductors, resistors, and transistors while digital circuits are designed using gates for designers to work on extensive ICs.

Today, traditional electromechanical systems are replaced by state-of-the-art and advanced electronic systems with the use of magnetic and light sensors.

These applications are designed to promote higher accuracy, intelligent performance, communication, and monitoring. More and more industries are reaping the benefits of SOC or System-on-a-Chip technologies: medical, consumers, automotive, and wireless technology.

Smart sensors, wireless technology, and medical devices are the most common applications of mixed-signal SOC technologies. Through mixed-signal designs, homeowners can now leave their homes without fear due to sophisticated security systems and monitoring.

One can leave home with confidence knowing that access is still possible through the keyless entry.

Smart sensors are prevalent in the automotive industry. These are integrated into their designs for smoke and alarm detection, traffic collision, detect pedestrians, detect traffic lights, read road signs, temperature sensing, gas analysis, detect pressure, flow, and so much more.

Incorporating analog and digital designs enable applications to achieve higher levels of performance. However, such approaches face significant difficulties and challenges that must be taken into account.

However, mixed-signal SOC presents several challenges:

  • Gate and memory size, these two need careful consideration as these generally drive cost. The digital device may have many IOs that the quantity of pads on the device is a determining factor of the perimeter therefore the area.

The sensitivity of the analog, mixed-signal designs, timing, and routing must be taken into consideration to avoid difficulties during the actual implementation.

  • Parasitic capacitance per gate of interconnect is decreased as geometry decreases which translates to high bandwidth and data rates. However, this is less predictable, so analog modeling must be well understood.
  • In a mixed-signal SOC, digital and analog circuits are on the same silicon surface which causes noise when simultaneously switching digital circuits.

The injected noise due to simultaneous switching will propagate through the silicon substrate which can affect the performance of the analog circuit which can lead to failure.

Designers must do something about the substrate noise challenge to lessen its impact. As a solution, designers have added the use of guard rings, triple wells, and additional spacing to separate sensitive analog circuits.

  • IC design. Modeling of analog circuits in small geometries is another challenge that needs to be understood. Careful planning and consideration of the integration are necessary to avoid undesired results and failure.

The increased demand for analog and digital circuits’ integration requires careful planning when it comes to design, area allocation, power budgets, verification strategy, electrical and noise constraints.

Designers must explore different options and be able to come up with alternatives to achieving an effective design approach.

All of the above-mentioned challenges present in a mixed-signal SOC must be considered to avoid or at least lessen the issues when during physical implementation.

A competent data and design management team enforcing all guidelines will be able to come up with cost-effective yet higher levels of performance applications.

 


Linear MicroSystems, Inc. is proud to offer its services worldwide as well as the surrounding areas and cities around our Headquarters in Irvine, CA: Mission Viejo, Laguna Niguel, Huntington Beach, Santa Ana, Fountain Valley, Anaheim, Orange County, Fullerton, and Los Angeles.